Low Power (Low Energy) FPGAs: a Survey


(*) Corresponding author


Authors' affiliations


DOI's assignment:
the author of the article can submit here a request for assignment of a DOI number to this resource!
Cost of the service: euros 10,00 (for a DOI)

Abstract


In this paper a survey of various techniques that have been used for design of a low power (low energy) Field Programmable Gate Array is presented. Recent low power configurable designs such as software radios or mobile applications require battery operated FPGAs. Furthermore, low power FPGA design is a new challenge rather than speed and area in FPGA design that has been past problems. Low power design techniques include not only process considerations but also hardware and software implementation methods. Different methods are reviewed, advantages and disadvantages of each method have been mentioned and their experimental results are provided.
Copyright © 2018 Praise Worthy Prize - All rights reserved.

Keywords


Low Power/Energy FPGA; Low Power Logic and Switch; Power Aware CAD

Full Text:

PDF


References


N. Weste, D.Harris, CMOS VLSI design, (Pearson education 2005).

T. Tuan, S. Kao, A 90nm low power FPGA for battery-powered applications, IEEE ACM 2006.

J. Lamoureux, W. Luk, A over view of low power techniques for field programmable gate arrays, IEEE Conf. on adaptive hardware and system 2008.

Arifur Rahman, V. Polavarapuv, Evaluation of low leakage design techniques for field programmable gate arrays, ACM 2004.

T. Tuan, S. Kao, A 90nm low power FPGA for battery-powered applications IEEE ACM 2006.

J. Anderson, F. Najm, Low power programmable routing circuitry for FPGAs IEEE 2004.

I. Brynjolfson, Z. Zilic, Dynamic clock management for low power application in FPGA IEEE 2000.

V. George,H. Zhang, J.Rabey, The design of a low energy FPGA, IEEE ACM 1999.

Y. Lin, F.Li, Power modeling and architecture evaluation for FPGA with Novel circuits for Vdd programmability IEEE 2005.

Fei Li, Yan Lin, FPGA power reduction using configurable dual vdd, ACM 2004.

C.Chen, T.Hwang, Low power FPGA design a re engineering approach, IEEE ACM 1997.

J.Anderson, F. Najm, Active leakage power optimization for FPGAs, IEEE trans. On CAD of integrated circuit and systems 2006.

Amit Singh, Efficient circuit clustering for area and power reduction in FPGAs, ACM 2002.

J. Lamoureux, On the interaction between power-aware computer aided design algorithms for field programmable gate arrays, MSc. Thesis university of Alberta, 2001.

Fei Li,D.Chen, Architecture Evaluation for Power Efficient FPGAs, International Symposium on FPGA 2003.

K.Poon, A.Yan, A flexible power model for FPGAs, (Springer 2002).

- T.Osmulski, J.Muehring, “ A probabilistic power prediction tool for ht Xilinx 4000-series FPGA” , Springer 2000.

J.Lamoureux, G.G.Lemieux, Glitchless: dynamic power minimization in FPGA through edge alignment and glitch filtering, IEEE trans. on VLSI 2008.

J.Darnaver,P.Garay, A field programmable multi chip FPMCM, IEEE 1994.

F.Li, Y.Lin, Low power FPGA using predefined dual Vdd dual Vth fabrics, FPGA Conference 2004.

B.Calhoun, F.Honore,”Design methodology for fine grained leakage control in MTCMOS”, IELPED 2003.

M.Goudarzi, T.Ishihara, “Row/column redundancy to reduce SRAM leakage in presence of random with-in die delay variation”, ACM 2008.

L. Cheng, P.Wang, F.Li, Y.Lin, “Device and architecture co-optimization for FPGA power reduction”, DAC 2005.

S.Mondal, S.Memik, “Power optimization techniques for SRAM based FPGAs”, IEEE 2006.

P. Czapski,A. Sluzek, “Power optimization techniques in FPGA devices: A combination of system and low levels ”, PWASET Vol. 22, July 2007.

S.Wilton, S.Ang, W.Luk, The impact of piplining on energy per operation in field programmable gate arrays, (Springer 2004).

L.Shang, A.Kaviani, K.bathala, Dynamic power consumption inVirtex-II FPGA family, ACM2002.

G.Sutter, E.Todorvich, FSM decomposition for low power inFPGA, (Springer-Verlog Berlin Heidelberg 2002).

E.Lopez-Buedo,C. Perez, Logic depth and power consumption: A comparative study between standard cells and FPGAs, XIII DCIS conf. 1998.

F. Li, Y.Lin, Power modeling and characteristics of field programmable gate arrays, IEEE Trans. on computer aided design of IC and systems 2005.

Xilinx Inc. Spartan-3 FPGA family datasheet www.xilinx.com.

Rahman, Evaluation of low leakage design techniques for field programmable gate arrays, Proc. Of Int’l symp. On FPGA 2004.

V. George, H-Zhang, The design of low energy FPGA, Int’l symp. On low power electronics and design 1999.

F. Li, Y.Lin, Low power FPGA using pre-defined dual vdd/dual Ct fabrics”, Int’l symp on FPGA 2004.

V.De, Y. Ye,.Techniques for leakage power reduction, IEEENJ press.

J.Kao, S.Norendra, A.Chandrakasan, Sub-threshold leakage modeling and reduction techniques, ICCAD 2002 tutorial.

S. Mutoh et al, “A 1v multi-threshold voltage CMOS DSP with an efficient power management technique for mobile phone applications”, ISSCC 1996.

F.Li,Y.Lin, J.Cong, FPGA power reduction using configurable dual Vdd, Proc. Of design automation conf. 2004.

D.Chen,J.Cong,..”Low power technology mapping for FPGA architectures with dual supply voltages”, Proc. Int’l Symp on FPGA 2004.

K.Kumagai, H. Iwaki, H. Yoslida, A novel power down scheme for low Vt CMOS circuits, IEEE Symp. On VLSI circuits 1998.

A. Rahman, Models for full-chip power dissipation in field programmable gate arrays and the impact of sub threshold leakage current, Proc. Int’l conf. On VLSI 2003.

Hemmady, V.G. Reddy, On the repair of redundant RAMS, Proc. DAC 1989.

K.Kanda, Duc Minh, Abnormal leakage suppression ALS scheme for low standby current SRAMS, ISCAS 2001.

G.Lemienx, Design of interconnection networks for programmable logic devices, PhD thesis ECE Department University of Toronto 2003.

N. Ranganathan, N. Vijaykrishnan, A linear array processor with dynamic frequency clocking for image processing applications, IEEE trans. on circuit and system for video tech 1998.

M. Olivieri, A. Trifiletti, A low power microcontroller with on chip self tuning digital clock generator for variable load applications, IEEE Int’l conf. On computer design Oct. 1999.

V. George, Effect of logic block granularity on interconnect power in a reconfigurable logic array, UC Berkeley.

Rose J. Brown, Flexibility of interconnect structure for field programmable gate arrays IEEE JSSC 1991.

Zhang, H. Babaey, Low swing interconnect interface circuits, Int’l symp. On low power electronics and design 1998.

F.Li, Y. Lin, Vdd programmability to reduce FPGA interconnect power, Proc. Int’l conf. CAD 2004.

M. Hamada et al, A top down low power design technique using clustered voltage scaling with variable supply voltage scheme, IEEE custom integrated circuit design 1998.

J.Lamoureux, G. Lemienx, Glitches: and active glitch minimization techniques for FPGAs, ACM 2007.

S.Mondal, S.O.Memik, Fine grain leakage optimization in SRAM based FPGAs, Great ideas Symp. VLSI 2005.

G.Constantinides, Word length optimization for differentiable non-linear systems, ACM Trans. on Design automation of electronics 2006.

R.Dimond, O. Mencer, Combing instruction coding and scheduling to optimize energy in system on FPGA, Proc. IEEE symp on field programmable custom computing machines, IEEE 2006.

S.Wiltan, S.Ang, The impactof pipelining on energy per operation in field programmable gate arrays, IN Proc.field programmable logic and applications 2004.

Z.H.Wnag, E.Lin, Power minimization in LUT-based FPGA technology mapping, ACM 2001.

C.Wang, C.Kwan, Low power technology mapping by hiding high transition paths in invisible edges for LUT based FPGAs, IEEE ICAS 1997.

N.Tagawa et al, A simulatanous placement and global routing algorithm for FPGAs with power optimization, Proc. Asia pacific conf. CAS 1998.

A.Singh, G. Parthasarathy, Efficient circuit clustering for area and power reduction in FPGAs, ACM 2002.

E.Bozogzadeh, Ogrencis, Routability driven packing for clusterbased FPGAs, Asian DAC 2001.

P.Zarkesh HA, J. Davis, Prediction of net length distribustion for global interconnects in a heterogeneous system on a chip, IEEE VLSI 2000.


Refbacks

  • There are currently no refbacks.



Please send any question about this web site to info@praiseworthyprize.com
Copyright © 2005-2024 Praise Worthy Prize