Design of High-Performance All-Digital Clock Recovery Circuit Requiring Short Preamble Data


(*) Corresponding author


Authors' affiliations


DOI's assignment:
the author of the article can submit here a request for assignment of a DOI number to this resource!
Cost of the service: euros 10,00 (for a DOI)

Abstract


This paper describes the architecture and design of a hybrid all-digital clock recovery circuit. The proposed clock recovery circuit is designed in a fully digital style in order to provide better scalability and portability. The proposed circuit is a hybrid since it operates coherently with an analog-to-digital converter (ADC). The final clock is determined by minimizing the discrepancies between the preambles sampled by the ADC and the expected ones. The number of the required preamble data is smaller than other approaches because simple and efficient search algorithms are used to determine the final clock with the most appropriate phase. The proposed hybrid clock recovery circuit is designed using 130nm, 1.2V CMOS technology and simulated with 1.1Gb/s incoming preambles using HSpice circuit simulator. The measured jitter tolerance against the input jitter is a 33% unit interval (UI). The number of the required preamble data in our circuit is 13
Copyright © 2013 Praise Worthy Prize - All rights reserved.

Keywords


All-digital; Clock Recovery Circuit; Fast Acquisition; Hybrid-type; Short Preambles

Full Text:

PDF


References


Y. Miki, T. Saito, H. Yamashita, F. Yuki, T. Baba, A. Koyama, M. Sonehara, A 50m-W/ch 2.5GB/s/ch data recovery circuit for the SFI-5 interface with digital eye tracking, IEEE Journal of Solid-State Circuits, vol. 39, April 2004, pp. 613 – 621.
http://dx.doi.org/10.1109/jssc.2004.824704

A.L. Coban, M.H. Koroglu, K.A. Ahmed, A 2.5–3.125Gb/s quad transceiver with second-order analog DLL-based CDRs, IEEE Journal of Solid-State Circuits, vol. 40, September 2005, pp. 1940 – 1947.
http://dx.doi.org/10.1109/jssc.2005.848142

S.H. Lee, M.S. Hwang, Y.D. Choi, S.J. Kim, Y.S Moon, B.J. Lee, D.K. Jeong, W.C. Kim, Y.J. Park, G.J. Ahn, A 5-Gb/s 0.25-um CMOS jitter-tolerant variable-interval oversampling clock/data recovery circuit, IEEE Journal of Solid-State Circuits, vol. 37, December 2002, pp. 1822 – 1830.
http://dx.doi.org/10.1109/jssc.2002.804342

S.W. Lee, C.K. Seong, W.Y. Choi, B.C. Lee, Clock and data recovery circuit using digital phase aligner and phase interpolator, The 49th IEEE International Midwest Symposium on Circuits and Systems, August 6-9, 2006, San Juan, Puerto Rico.
http://dx.doi.org/10.1109/mwscas.2006.382156

M.E.S. Elrabaa, A portable clock recovery circuit (CRC) for systems-on-chip serial data communication, The 6th International Conference on Microelectronics, December 16-19, 2006, Dhahran, Saudi Arabia.
http://dx.doi.org/10.1109/icm.2006.373301

N. Kiddinapillai, T. Kwasniewski, A 2–5Gb/s fully differential 3X oversampling CDR for high-speed serial data link, The 27th Proceedings of NORCHIP, November 16-17, 2009, Trondheim, Norway.
http://dx.doi.org/10.1109/norchp.2009.5397815

M.E.S. Elrabaa, An all-digital clock recovery and data timing circuitry for high speed NRZ data communications, IEICE Transactions on Electronics, vol. E85-C, May 2002, pp. 1170 – 1176.

J.L. Sonntag, J. Stonick, A digital clock and data recovery architecture for multi-gigabit/s binary links, IEEE Journal of Solid-State Circuits, vol. 41, August 2006, pp. 1867 – 1875.
http://dx.doi.org/10.1109/jssc.2006.875292

E. Kilada, M. Dessouky, A. Elhennawy, Architecture of a fully digital CDR for plesiochronous clocking systems, IEEE International Conference on Signal Processing and Communications, November 24-27, 2007, Dubai.
http://dx.doi.org/10.1109/icspc.2007.4728475

Y.H. Lin, T.Y. Hsu, Low-complexity all-digital sample clock dither for OFDM timing recovery, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, July 2010, pp. 1036 – 1042.
http://dx.doi.org/10.1109/tvlsi.2009.2019079

C.S. Oulee, R.J. Yang, A 1.25Gbps all-digital clock and data recovery circuit with binary frequency acquisition, IEEE Asia Pacific Conference on Circuits and Systems, November 30- December 3, 2008, Macao, China.
http://dx.doi.org/10.1109/apccas.2008.4746115

D. Qingjin, J. Jingcheng, T. Kwasniewski, A 2.5Gb/s low power clock and data recovery circuit, Canadian Conference on Electrical and Computer Engineering, April 22-26, 2007, Vancouver, Canada.
http://dx.doi.org/10.1109/ccece.2007.137

M. Loh, A. Emami-Heyestanak, All-digital CDR for high-density, high-speed I/O, IEEE Symposium on VLSI Circuits (VLSIC), June 16-18, 2010, Honolulu, U.S.A.
http://dx.doi.org/10.1109/vlsic.2010.5560319

C-D. Oancea, M. Naumof, A. Nedelcu, L. Ciulinaru, Improvements on data acquisition systems performances, (2009) International Review on Modelling and Simulations (IREMOS), 2 (4), pp. 485-489.


Refbacks

  • There are currently no refbacks.



Please send any question about this web site to info@praiseworthyprize.com
Copyright © 2005-2024 Praise Worthy Prize